Copper represents the main option as conductive material for interconnects in the Ultra-Large Scale Integrated (ULSI) technology since the replacement of aluminium in 1997. The introduction was triggered by the higher conductivity and electromigration resistance offered by copper. The necessity to avoid contact between the metal and the underlying SiO2, because of the high interdiffusion coefficient of copper in silicon oxide, brought the semiconductor industry to develop and place a barrier layer at the metal/dielectric interface. Common practice, today, is the use of a double layer of Ta/TaN creating a final stack of SiO2/Ta/TaN/Cu. In the Damascene process, a sputtering or Physical Vapour Deposition (PVD) step is employed for the deposition of the barrier layer, and, with the same technique, also a Cu seed layer can be conformally deposited. Such seed layer is necessary for the bottom-up filling of the vias or trenches by electroplated copper. To keep Moore’s law verified, the future node generations will need to continue downscaling process, together with the barrier layer stack. Due to the impossibility to realize a conformal barrier layer of thickness below 5-6 nm, a rethinking process of the materials and deposition techniques needs to be taken in order to win the challenge. An “all-wet” process was developed and improved in this project as an alternative road for the realization of a metal/barrier/dielectric stack. NiB is already known to have good barrier features against copper diffusion, possessing good adhesion and thermal stability, together with remarkable conductive properties. The alloy was deposited on a Si/SiO2 substrate appropriately modified through the use of Self-Assembled Monolayers (SAMs) and catalysed by immersion in a PdCl2 solution. The goal of this project is the evaluation of the electroless NiB thin film and line conductivity on SAMs, on both blanket and patterned silicon, so that a good comparison with copper and other metals may be possible. The first part of this master thesis project is the evaluation of the SAM. Two different precursors, (Aminoethylaminomethyl)-phenylethyltrimethoxysilane (PEDA) and (3-Trimethoxysilylpropyl)-diethylenetriamine (DETA), both belonging to the amino-silane family, were chosen because of their ability to create strong bonds with the SiO2 substrate and because of the presence of negatively charged tail groups. The SAM was deposited with different techniques: on coupons using a solvent-based solution, by vapour deposition on full wafer. Different times where used for both depositions so that the best characteristics could be selected. Contact angle (CA) was used as a simple technique to check the presence and quality of the organic layer, while the near-zero thickness was measured though the use of x-ray reflectivity (XRR) and ellipsometry (EP10) techniques. The number of top amino-groups was counted through the use of X-ray photoelectron spectroscopy (XPS), and the same technique was employed to evaluate the quantity of Pd uptake versus immersion time on the differently processed SAMs. A uniform uptake of strongly bonded Pd atoms is essential to correctly catalyse the subsequent metal deposition. Two different NiB chemistries (10% and 1% of B) were employed covering a wide range of different deposition options (deposition time, anneal, etc.). Thin film resistivity could be calculated through the evaluation of the thickness of the deposit, with XRR techniques, and of the resistance, through 4-point probe measurements. Using the same technique, also the growth rate of the film on different substrates was measured. For all the deposit adhesion, measured though the use of a 4-point bending tool with a visual monitoring of the interface through Scanning Electron Microscopy (SEM), proved to be strong enough to satisfy the requirements necessary for the successive processing step of the Integrated Circuit (IC) fabrication. Chemical compositions of the deposits were evaluated using Elastic Recoil Detection (ERD). Finally, the chemistry was transferred to patterned coupons for the evaluation of line resistivity. Liquid SAM, with its superior properties with respect to the vapour one, was the only deposited on the patterned samples before the thick deposition (up to 300 nm) of NiB. The removal of excessive metal was realized through Chemical-Mechanical Polishing (CMP), using a MECAPOL polisher. A first run confirmed the best characteristics of DETA and NiB 1% over the other deposits. After a good knowledge of the CMP and a good evaluation of the line filling though the use of optical microscopy and SEM were achieved, a last run of samples was made in order to be inspected under Transmission Electron Microscopy (TEM). Resistance of the coupons where evaluated though 4-point probe measurements while accurate knowledge of the section area of the line gave the possibility to calculate resistivity. The realization of lines with resistivity below the one of W with widths down to 14 nm was proven possible.

Il rame rappresenta la principale scelta per le interconnessioni di metallo nella tecnologia ULSI dal 1997, anno in cui è subentrato all’alluminio, data la sua maggiore conducibilità rispetto a quest’ultimo. A causa dell’alto coefficiente di diffusione del Cu all’interno del layer dielettrico di SiO2, è stato necessario l’introduzione di uno layer intermedio che evitasse il contatto diretto tra il metallo e il substrato. Questo ruolo è oggi svolto da un doppio strato di Ta/TaN che viene normalmente depositato tramite PVD o sputtering, insieme ad un primo strato (seed) di rame necessario per il successivo riempimento di vie o trincee tramite elettrodeposizione. Man mano che le dimensioni vanno riducendosi come risultato del potenziamento e abbassamento dei costi dei circuiti integrati, come descritto dalla legge Moore, lo strato barriera a base di tantalio, che difficilmente riuscirà a raggiungere dimensioni inferiori ai 5 nm mantenendo accettabili caratteristiche, dovrà essere abbandonato. Un ripensamento totale dei materiali e delle tecniche di deposizione è quindi essenziale per permettere la creazione di barriere con caratteristiche migliori alle tradizionali rispettando i nuovi vincoli dimensionali. In questo progetto è stato sviluppato e migliorato un processo “all-wet” che comprende più passaggi in soluzione per la realizzazione della struttura dielettrico/barriera/metallo. Il conduttore è rappresentato da una lega a base di nickel-boro, “electroless” depositato su substrato di SiO2, opportunamente funzionalizzato tramite l’uso di monostrati organici autoassemblati (SAM). La deposizione di metallo è possibile previa catalizzazione tramite immersione in una soluzione di PdCl2. Il NiB è noto avere buone proprietà di barriera se accoppiato con rame: oltre a non permettere la diffusione degli atomi di silicio possiede buona adesione sul SAM e stabilità termica. In questo progetto si tenterà di valutare le caratteristiche del film e della linea di NiB in modo tale da avere un possibile confronto con altri metalli quali Cu e Ni. La prima parte del progetto è centrata sulla caratterizzazione del SAM. Due differenti precursori organici sono stati utilizzati, l’(aminoetilaminometil)-feniltrimetossisilano (PEDA) e il (3-trimetossisililpropil)-dietilentriammina (DETA), entrambi appartenenti alla famiglia degli ammino-silani, scelti per le loro caratteristiche di formare legami forti con il substrato e la presenza di gruppi carichi negativamente (ammine) in coda alla molecola. Per la deposizione sono state utilizzate una tecnica di deposizione liquida, in soluzione organica, e una vapore. Tramite la variazione dell’angolo di contatto è stato possibile valutare l’effettiva deposizione del SAM e quindi, tramite ellissometria (EP10) e reflettività tramite raggi x (XRR), ne è stato valutato lo spessore. La spettroscopia fotoelettronica tramite raggi x (XPS) è stata quindi impiegata per valutare la composizione atomica superficiale dello strato organico. Successivamente la stessa è stata anche impiegata per stimare l’adsorbimento superficiale di palladio da parte del SAM. Nella seconda parte del progetto ci si è concentrati più sull’ottimizzazione del processo di deposizione electroless. Due bagni con composizioni diverse sono state utilizzate (NiB 1% e NiB 10%) e diverse caratteristiche di deposizione (tempi di deposizione, ricottura, ecc.). La misurazione dello spessore del film, tramite XRR, e della resistenza elettrica, attraverso misure a quattro terminali, hanno reso possibile la misura su thin film e del tasso di crescita del film stesso. L’adesione, misurata tramite uno strumento di piegatura su quattro punti, si è dimostrata elevata e sufficiente, per tutti i campioni, per sostenere i successivi passaggi necessari nell’industria del circuito integrato (IC). La composizione chimica del film di NiB al variare della profondità è stata possibile grazie alla tecnica di analisi di richiamo elastico (ERD). La deposizione è stata quindi trasferita sui campioni patternati dove si è cercato di isolare il caso in cui la resistività di linea risultasse minore. La combinazione NiB 1% con DETA si è rivelata inizialmente la migliore sotto questo punto di vista ed è quindi stata esaminata più attentamente. Una comprensione migliore della fase di chemical mechanical polishing (CMP), tramite l’uso del polisher MECAPOL, affiancato da immagini da microscopio ottico e da microscopio a scansione elettronica (SEM), ha portato alla ottimizzazione del processo di creazione delle linee. A quel punto è stato possibile selezionare i migliori campioni per essere analisi tramite microscopio a scansione a effetto tunnel (TEM). La conoscenza esatta della sezione delle linee unita alla resistenza di queste valutata tramite misurazione a quattro terminali ha reso possibile il calcolo della resistività di siffatte linee. È stato quindi dimostrato che è possibile depositare tramite ELD, NiB in linee con larghezze pari a 14 nm, con resistività comparabili a tutti gli altri metalli presenti in commercio.

Self-assembled monolayer and electroless Ni-B as alternative barrier layer and interconnect for ULSI technology

SARACINO, GIANMARIA
2013/2014

Abstract

Copper represents the main option as conductive material for interconnects in the Ultra-Large Scale Integrated (ULSI) technology since the replacement of aluminium in 1997. The introduction was triggered by the higher conductivity and electromigration resistance offered by copper. The necessity to avoid contact between the metal and the underlying SiO2, because of the high interdiffusion coefficient of copper in silicon oxide, brought the semiconductor industry to develop and place a barrier layer at the metal/dielectric interface. Common practice, today, is the use of a double layer of Ta/TaN creating a final stack of SiO2/Ta/TaN/Cu. In the Damascene process, a sputtering or Physical Vapour Deposition (PVD) step is employed for the deposition of the barrier layer, and, with the same technique, also a Cu seed layer can be conformally deposited. Such seed layer is necessary for the bottom-up filling of the vias or trenches by electroplated copper. To keep Moore’s law verified, the future node generations will need to continue downscaling process, together with the barrier layer stack. Due to the impossibility to realize a conformal barrier layer of thickness below 5-6 nm, a rethinking process of the materials and deposition techniques needs to be taken in order to win the challenge. An “all-wet” process was developed and improved in this project as an alternative road for the realization of a metal/barrier/dielectric stack. NiB is already known to have good barrier features against copper diffusion, possessing good adhesion and thermal stability, together with remarkable conductive properties. The alloy was deposited on a Si/SiO2 substrate appropriately modified through the use of Self-Assembled Monolayers (SAMs) and catalysed by immersion in a PdCl2 solution. The goal of this project is the evaluation of the electroless NiB thin film and line conductivity on SAMs, on both blanket and patterned silicon, so that a good comparison with copper and other metals may be possible. The first part of this master thesis project is the evaluation of the SAM. Two different precursors, (Aminoethylaminomethyl)-phenylethyltrimethoxysilane (PEDA) and (3-Trimethoxysilylpropyl)-diethylenetriamine (DETA), both belonging to the amino-silane family, were chosen because of their ability to create strong bonds with the SiO2 substrate and because of the presence of negatively charged tail groups. The SAM was deposited with different techniques: on coupons using a solvent-based solution, by vapour deposition on full wafer. Different times where used for both depositions so that the best characteristics could be selected. Contact angle (CA) was used as a simple technique to check the presence and quality of the organic layer, while the near-zero thickness was measured though the use of x-ray reflectivity (XRR) and ellipsometry (EP10) techniques. The number of top amino-groups was counted through the use of X-ray photoelectron spectroscopy (XPS), and the same technique was employed to evaluate the quantity of Pd uptake versus immersion time on the differently processed SAMs. A uniform uptake of strongly bonded Pd atoms is essential to correctly catalyse the subsequent metal deposition. Two different NiB chemistries (10% and 1% of B) were employed covering a wide range of different deposition options (deposition time, anneal, etc.). Thin film resistivity could be calculated through the evaluation of the thickness of the deposit, with XRR techniques, and of the resistance, through 4-point probe measurements. Using the same technique, also the growth rate of the film on different substrates was measured. For all the deposit adhesion, measured though the use of a 4-point bending tool with a visual monitoring of the interface through Scanning Electron Microscopy (SEM), proved to be strong enough to satisfy the requirements necessary for the successive processing step of the Integrated Circuit (IC) fabrication. Chemical compositions of the deposits were evaluated using Elastic Recoil Detection (ERD). Finally, the chemistry was transferred to patterned coupons for the evaluation of line resistivity. Liquid SAM, with its superior properties with respect to the vapour one, was the only deposited on the patterned samples before the thick deposition (up to 300 nm) of NiB. The removal of excessive metal was realized through Chemical-Mechanical Polishing (CMP), using a MECAPOL polisher. A first run confirmed the best characteristics of DETA and NiB 1% over the other deposits. After a good knowledge of the CMP and a good evaluation of the line filling though the use of optical microscopy and SEM were achieved, a last run of samples was made in order to be inspected under Transmission Electron Microscopy (TEM). Resistance of the coupons where evaluated though 4-point probe measurements while accurate knowledge of the section area of the line gave the possibility to calculate resistivity. The realization of lines with resistivity below the one of W with widths down to 14 nm was proven possible.
ARMINI, SILVIA
ING - Scuola di Ingegneria Industriale e dell'Informazione
29-apr-2014
2013/2014
Il rame rappresenta la principale scelta per le interconnessioni di metallo nella tecnologia ULSI dal 1997, anno in cui è subentrato all’alluminio, data la sua maggiore conducibilità rispetto a quest’ultimo. A causa dell’alto coefficiente di diffusione del Cu all’interno del layer dielettrico di SiO2, è stato necessario l’introduzione di uno layer intermedio che evitasse il contatto diretto tra il metallo e il substrato. Questo ruolo è oggi svolto da un doppio strato di Ta/TaN che viene normalmente depositato tramite PVD o sputtering, insieme ad un primo strato (seed) di rame necessario per il successivo riempimento di vie o trincee tramite elettrodeposizione. Man mano che le dimensioni vanno riducendosi come risultato del potenziamento e abbassamento dei costi dei circuiti integrati, come descritto dalla legge Moore, lo strato barriera a base di tantalio, che difficilmente riuscirà a raggiungere dimensioni inferiori ai 5 nm mantenendo accettabili caratteristiche, dovrà essere abbandonato. Un ripensamento totale dei materiali e delle tecniche di deposizione è quindi essenziale per permettere la creazione di barriere con caratteristiche migliori alle tradizionali rispettando i nuovi vincoli dimensionali. In questo progetto è stato sviluppato e migliorato un processo “all-wet” che comprende più passaggi in soluzione per la realizzazione della struttura dielettrico/barriera/metallo. Il conduttore è rappresentato da una lega a base di nickel-boro, “electroless” depositato su substrato di SiO2, opportunamente funzionalizzato tramite l’uso di monostrati organici autoassemblati (SAM). La deposizione di metallo è possibile previa catalizzazione tramite immersione in una soluzione di PdCl2. Il NiB è noto avere buone proprietà di barriera se accoppiato con rame: oltre a non permettere la diffusione degli atomi di silicio possiede buona adesione sul SAM e stabilità termica. In questo progetto si tenterà di valutare le caratteristiche del film e della linea di NiB in modo tale da avere un possibile confronto con altri metalli quali Cu e Ni. La prima parte del progetto è centrata sulla caratterizzazione del SAM. Due differenti precursori organici sono stati utilizzati, l’(aminoetilaminometil)-feniltrimetossisilano (PEDA) e il (3-trimetossisililpropil)-dietilentriammina (DETA), entrambi appartenenti alla famiglia degli ammino-silani, scelti per le loro caratteristiche di formare legami forti con il substrato e la presenza di gruppi carichi negativamente (ammine) in coda alla molecola. Per la deposizione sono state utilizzate una tecnica di deposizione liquida, in soluzione organica, e una vapore. Tramite la variazione dell’angolo di contatto è stato possibile valutare l’effettiva deposizione del SAM e quindi, tramite ellissometria (EP10) e reflettività tramite raggi x (XRR), ne è stato valutato lo spessore. La spettroscopia fotoelettronica tramite raggi x (XPS) è stata quindi impiegata per valutare la composizione atomica superficiale dello strato organico. Successivamente la stessa è stata anche impiegata per stimare l’adsorbimento superficiale di palladio da parte del SAM. Nella seconda parte del progetto ci si è concentrati più sull’ottimizzazione del processo di deposizione electroless. Due bagni con composizioni diverse sono state utilizzate (NiB 1% e NiB 10%) e diverse caratteristiche di deposizione (tempi di deposizione, ricottura, ecc.). La misurazione dello spessore del film, tramite XRR, e della resistenza elettrica, attraverso misure a quattro terminali, hanno reso possibile la misura su thin film e del tasso di crescita del film stesso. L’adesione, misurata tramite uno strumento di piegatura su quattro punti, si è dimostrata elevata e sufficiente, per tutti i campioni, per sostenere i successivi passaggi necessari nell’industria del circuito integrato (IC). La composizione chimica del film di NiB al variare della profondità è stata possibile grazie alla tecnica di analisi di richiamo elastico (ERD). La deposizione è stata quindi trasferita sui campioni patternati dove si è cercato di isolare il caso in cui la resistività di linea risultasse minore. La combinazione NiB 1% con DETA si è rivelata inizialmente la migliore sotto questo punto di vista ed è quindi stata esaminata più attentamente. Una comprensione migliore della fase di chemical mechanical polishing (CMP), tramite l’uso del polisher MECAPOL, affiancato da immagini da microscopio ottico e da microscopio a scansione elettronica (SEM), ha portato alla ottimizzazione del processo di creazione delle linee. A quel punto è stato possibile selezionare i migliori campioni per essere analisi tramite microscopio a scansione a effetto tunnel (TEM). La conoscenza esatta della sezione delle linee unita alla resistenza di queste valutata tramite misurazione a quattro terminali ha reso possibile il calcolo della resistività di siffatte linee. È stato quindi dimostrato che è possibile depositare tramite ELD, NiB in linee con larghezze pari a 14 nm, con resistività comparabili a tutti gli altri metalli presenti in commercio.
Tesi di laurea Magistrale
File allegati
File Dimensione Formato  
TESI finita.pdf

Open Access dal 04/04/2015

Descrizione: testo della tesi
Dimensione 4.8 MB
Formato Adobe PDF
4.8 MB Adobe PDF Visualizza/Apri

I documenti in POLITesi sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/10589/93385